7月
07
模型机顶层设计
代码方法就是简单,模型机的顶层很简洁:
代码方法就是简单,模型机的顶层很简洁:
从同学那里拷来的代码,VHDL设计数码管显示电路: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity scan_led4 is port( scan_clk:in std_logic; arh,arl,bush,busl:i … Continue reading
花了很长时间,走了很多弯路,终于完成了模405计数器的设计。 首先设计一个具有同步置数,异步清零,同步使能,进位输出的10进制计数器: 这里用了lpm定制,注意选择模10. 顶层设计: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use … Continue reading